comparison src/share/vm/memory/iterator.cpp @ 7287:76c9023ed438

Remove now useless prefix arguments for jtt unittests
author Gilles Duboscq <duboscq@ssw.jku.at>
date Thu, 20 Dec 2012 17:06:59 +0100
parents d0aa87f04bd5
children 5888334c9c24
comparison
equal deleted inserted replaced
7286:641f41d8342b 7287:76c9023ed438